W
woutput
Guest
こんにちはすべて、すみませんが、私の最後の問題を解決するために役立つことができますか?私は、エンティティへの入力(ポート)として配列を持っていると思います。これは可能でしょうか? yesの場合、どうやって?今のようなものがある:;使用ieee.std_logic_1164.all;使用ieee.std_logic_unsigned.allを、[コード]ライブラリのIEEE - 使用する" - "std_logic_vector利用ieee.numeric_std.allに、エンティティA_SPACタイプがBOUNDARY_INFORMATION_TYPEされる配列は(つまり境界 - std_logic_vector 1 downto 0)(ADDR_SP_BITS + NODE_ID_BITS + QOS_BITS - 1 downto 0);ジェネリック - A_SPAC(QOS_BITS:整数:= 2; NODE_ID_BITS:整数:= 2; ADDR_SP_BITS:整数:= 8;境界:整数: = 4;);ポート - A_SPAC(BOUNDARY_INFORMATION:BOUNDARY_INFORMATION_TYPEで; - std_logic_vector(境界線 - 1 downto 0)(ADDR_SP_BITS + NODE_ID_BITS + QOS_BITS - 1 downto 0););終わりの実体A_SPAC、私のため[/コード]か[コード] A_SPACのアーキテクチャSPAC_ARCHのような何かをしたい(1)(2)BOUNDARY_INFORMATIONを開始され