パッケージ汎用内

M

megha26

Guest
我々は、乗算複合体のコードですとで使用pckgをに書き込む。

パッケージの一部は、このエラーは、その示しています。

ライブラリのIEEE;
使用ieee.std_logic_1164.all;
使用ieee.numeric_std.all;
使用work.fixed_float_types.all;
パッケージfixed_generic_pkgは
fixed_round_style汎用(:fixed_round_style_type:= fixed_round;
fixed_overflow_styleは:fixed_overflow_style_type:= fixed_saturate;
fixed_guard_bits:自然:= 3;
no_warning:ブール値:= falseを
);
......
......エラーは'が'パースエラー、予¥期しない一般的な。この場合の代替我々が使用することはできません一般的な内部かもしれないしwhayパッケージ??してください....助けて私たちを

.. advceのおかげで

 
あなたはソ¥フトウェアのバージョンと応じてパッケージでジェネリックを使用することができるように。どのような合成やシミュレーションソ¥フトウェアとバージョンが使用している場合は。あるかもしれない何か他のコードをパッケージ全体の原因とアップロードのエラーをすることができますができますか?これは、問題を特定するに役立ちます。またwork.fixed_float_types.all定義型で含まれます。

 
こんにちは、

パッケージの宣ジェネリック2008 - VHDLで標準しているされてしました。エラーが発生場合シミュレータ/合成パーサが表¥示されますツールはありません)はサポートして、この(一部のVHDL、標準- 2008。

四天王

 
問題ありません、

四天王が正しいこと、それが使用している理由が私はあなたのソ¥フトウェア尋ねたとして。を使用してのGENERICのパッケージの標準的な電流ですでは、VHDLの- 2008が、残念ながらパッケージソ¥フトウェアの現在のあまりサポートされています。

, Third Edition.

私も例使用されて気づいたことは、スニペットをコードEditionのです から
、ピーターアシェンデンのデザイナー 3』を
VHDLの 。これは、曲線の偉大な参考書として長い間のようにしておく先を実行するには、傾向の心は、機能¥を限りサポートされている。

 
これは...............て使用されて我々がパッケージ
同じ本....そのパッケージから'デザイナーガイドのVHDLに...

.................................................. ......................................
ライブラリのIEEE;
使用ieee.std_logic_1164.all;
使用work.fixed_generic_pkg.all;

パッケージfixed_pkgはieee.fixed_generic_pkgされ、新しい
一般的なマップ(
fixed_round_style => ieee.fixed_float_types.fixed_round、
fixed_overflow_styleは=>、ieee.fixed_float_types.fixed_saturate
fixed_guard_bits => 3、
no_warning => falseに
);
エンドパッケージfixed_pkg;

.................................................. .........................................

使用std.textio.all;
ライブラリのIEEE;
使用ieee.std_logic_1164.all;
使用ieee.numeric_std.all;
使用work.fixed_float_types.all;
パッケージfixed_generic_pkgは
fixed_round_style汎用(:fixed_round_style_type:= fixed_round;
fixed_overflow_styleは:fixed_overflow_style_type:= fixed_saturate;
fixed_guard_bits:自然:= 3;
no_warning:ブール値:= falseを
);

-作者Davidビショップ(dbishop()でvhdl.org)

定数CopyRightNotice:文字列:=
- "著作権2008 IEEEによってすべての権利を保有。";
タイプはunresolved_ufixedは(配列の整数の範囲<>)std_ulogicの。
タイプはunresolved_sfixedは(配列の整数の範囲<>)std_ulogicの。
エイリアスはunresolved_ufixedですu_ufixed;
エイリアスはunresolved_sfixedですu_sfixed;
サブタイプがunresolved_ufixed ufixedです(解決);
サブタイプがunresolved_sfixed sfixedです(解決);
関数"ABS樹脂"(引数:unresolved_sfixed)の戻りunresolved_sfixed;
関数" - "(引数:unresolved_sfixed)の戻りunresolved_sfixed;
関数" "(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数" "(リットル:unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
関数" "(リットル:実数はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数" "(リットル:unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
関数" "(リットル:自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数" "(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数" "(リットル:unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
関数" "(リットル:実数はr:unresolved_sfixed)の戻りunresolved_sfixed;
関数" "(lは:unresolved_sfixedはr:整数)はunresolved_sfixed返します。
関数" "(リットル:整数であり、R:unresolved_sfixed)の戻りunresolved_sfixed;
関数" - "(lとrを:unresolved_ufixed)の戻りunresolved_ufixed;
関数" - "(リットル:unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
関数" - "(リットル:実数はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数" - "(リットル:unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
関数" - "(リットル:自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数" - "(lとrを:unresolved_sfixed)の戻りunresolved_sfixed;
関数" - "(リットル:unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
関数" - "(リットル:実数はr:unresolved_sfixed)の戻りunresolved_sfixed;
関数は、" - "(リットル:unresolved_sfixedはr:整数)はunresolved_sfixed返します。
関数" - "(リットル:整数であり、R:unresolved_sfixed)の戻りunresolved_sfixed;
関数"*"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"*"(リットル:unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
関数"*"(リットル:実数はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"*"(リットル:unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
関数"*"(リットル:自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"*"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"*"(リットル:unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
関数"*"(リットル:実数はr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"*"は(リットル:unresolved_sfixedはr:整数)はunresolved_sfixed返します。
関数"*"(リットル:整数であり、R:unresolved_sfixed)の戻りunresolved_sfixed;
(リットル関数"/"、rを:unresolved_ufixed)の戻りunresolved_ufixed;
(リットル関数"/":unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
(リットル関数"/":実際はr:unresolved_ufixed)の戻りunresolved_ufixed;
(リットル関数"/":unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
(リットル関数"/":自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
(リットル関数"/"、rを:unresolved_sfixed)の戻りunresolved_sfixed;
(リットル関数"/":unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
(リットル関数"/":実際はr:unresolved_sfixed)の戻りunresolved_sfixed;
(リットル関数は"/":unresolved_sfixedはr:整数)はunresolved_sfixed返します。
:整数関数"/"(リットルはr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"レム"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"レム"(リットル:unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
関数の"rem"(リットル:実数はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"レム"(リットル:unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
関数"レム"(リットル:自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"レム"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"レム"(リットル:unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
関数"レム"(リットル:実数はr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"レムは"(リットル:unresolved_sfixedはr:整数)はunresolved_sfixed返します。
関数"レム"(リットル:整数であり、R:unresolved_sfixed)の戻りunresolved_sfixed;
関数"モッズ"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"モッズ"(リットル:unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
関数"モッズ"(リットル:実数はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"モッズ"(リットル:unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
関数"モッズ"(リットル:自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"モッズ"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"モッズ"(リットル:unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
関数"モッズ"(リットル:実数はr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"modは"(リットル:unresolved_sfixedはr:整数)はunresolved_sfixed返します。
関数"モッズ"(リットル:整数であり、R:unresolved_sfixed)の戻りunresolved_sfixed;
エンドfixed_generic_pkg;

パッケージ本体のfixed_generic_pkgは
関数除算(リットル、rを:unresolved_ufixed;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
戻り値はunresolved_ufixed;
関数除算(リットル、rを:unresolved_sfixed;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_sfixed;
function相互(引数:unresolved_ufixed;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_ufixed;
function相互(引数:unresolved_sfixed;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_sfixed;
関数の残り(リットル、rを:unresolved_ufixed;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_ufixed;
関数の残り(リットル、rを:unresolved_sfixed;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_sfixed;
関数モジュロ(リットル、rを:unresolved_ufixed;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_ufixed;
関数モジュロ(リットル、rを:unresolved_sfixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_sfixed;
プロシージャadd_carry(リットル、rを:でunresolved_ufixed;れます。in C_IN:std_ulogicで。
結果:アウトunresolved_ufixed; c_out:アウトstd_ulogic);
プロシージャadd_carry(リットル、rを:でunresolved_sfixed;れます。in C_IN:std_ulogicで。
結果:アウトunresolved_sfixed; c_out:アウトstd_ulogic);
関数scalbは(yは:unresolved_ufixed; N:整数)unresolved_ufixed返します。
関数scalb(yは:unresolved_ufixed N個:unresolved_signed)の戻りunresolved_ufixed;
関数scalbは(yは:unresolved_sfixed; N:整数)unresolved_sfixed返します。
関数scalb(yは:unresolved_sfixed N個:unresolved_signed)の戻りunresolved_sfixed;
function Is_Negative(引数:unresolved_sfixed)戻り値のブール値。
関数"="(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数"="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"="(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"="(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"="(リットル:unresolved_sfixedはr:自然)の戻りブール;
関数"="(リットル:自然はr:unresolved_sfixed)戻り値のブール値。
関数"/ ="(リットル、rを:unresolved_ufixed)戻り値のboolean;
関数"/ ="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"/ ="(リットル:実数はr:unresolved_ufixed)戻り値のboolean;
関数"/ ="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"/ ="(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"/ ="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"/ ="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"/ ="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"/ ="(リットル:unresolved_sfixedはr:自然)の戻りブール;
関数"/ ="(リットル:自然はr:unresolved_sfixed)戻り値のブール値。
関数"<"(lとrを:unresolved_ufixed)戻り値のブール値。
関数"<"(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"<"(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"<"(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"<"(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"<"(lとrを:unresolved_sfixed)戻り値のブール値。
関数"<"(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"<"(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"<"(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数"<"(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数"<="(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数"<="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"<="(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"<="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"<="(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"<="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"<="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"<="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"<="(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数"<="(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数">"(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数">"(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数">"(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数">"(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数">"(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数">"(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数">"(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数">"(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数">"(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数">"(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数"> ="(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数"> ="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"> ="(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"> ="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"> ="(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"> ="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"> ="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"> ="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"> ="(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数"> ="(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数の最小値(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数の最小値(リットル:unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
関数の最小値(リットル:実数はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数の最小値(リットル:unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
関数の最小値(リットル:自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数の最小値(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数の最小値(リットル:unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
関数の最小値(リットル:実数はr:unresolved_sfixed)の戻りunresolved_sfixed;
関数の最小値は(リットル:unresolved_sfixedはr:整数)はunresolved_sfixed返します。
関数の最小値(リットル:整数であり、R:unresolved_sfixed)の戻りunresolved_sfixed;
関数の最大(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数の最大値(リットル:unresolved_ufixedはr:実数)の戻りunresolved_ufixed;
関数の最大値(リットル:実数はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数の最大値(リットル:unresolved_ufixedはr:自然)の戻りunresolved_ufixed;
関数の最大値(リットル:自然はr:unresolved_ufixed)の戻りunresolved_ufixed;
関数の最大値(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数の最大値(リットル:unresolved_sfixedはr:実数)の戻りunresolved_sfixed;
関数の最大値(リットル:実数はr:unresolved_sfixed)の戻りunresolved_sfixed;
関数の最大(lは:unresolved_sfixedはr:整数)はunresolved_sfixed返します。
関数の最大値(リットル:整数であり、R:unresolved_sfixed)の戻りunresolved_sfixed;
関数"?="(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数"?="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"?="(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"?="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"?="(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"?="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"?="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"?="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"?="(リットル:unresolved_sfixedはr:自然)の戻りブール;
関数"?="(リットル:自然はr:unresolved_sfixed)戻り値のブール値。
関数"?/="(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数"?/="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"?/="(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"?/="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"?/="(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"?/="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"?/="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"?/="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"?/="(リットル:unresolved_sfixedはr:自然)の戻りブール;
関数"?/="(リットル:自然はr:unresolved_sfixed)戻り値のブール値。
関数"?<"(lとrを:unresolved_ufixed)戻り値のブール値。
関数"?<"(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"?<"(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"?<"(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"?<"(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"?<"(lとrを:unresolved_sfixed)戻り値のブール値。
関数"?<"(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"?<"(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"?<"(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数"?<"(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数"?<="(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数"?<="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"?<="(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"?<="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"?<="(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"?<="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"?<="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"?<="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"?<="(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数"?<="(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数"?>"(リットル、rを:unresolved_ufixed)戻り値のboolean;
関数"?>"(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"?>"(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"?>"(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"?>"(リットル:自然はr:unresolved_ufixed)戻り値のブール値。
関数"?>"(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"?>"(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"?>"(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"?>"(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数"?>"(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数"?>="(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数"?>="(リットル:unresolved_ufixedはr:実数)を返すブール値。
関数"?>="(リットル:実数はr:unresolved_ufixed)戻り値のブール値。
関数"?>="(リットル:unresolved_ufixedはr:自然)の戻りブール;
関数"?>="(リットル:自然はr:unresolved_ufixed)戻り値のboolean;
関数"?>="(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"?>="(リットル:unresolved_sfixedはr:実数)を返すブール値。
関数"?>="(リットル:実数はr:unresolved_sfixed)戻り値のブール値。
関数"?>="(リットル:unresolved_sfixedはr:整数)を返すブール値。
関数"?>="(リットル:整数であり、R:unresolved_sfixed)戻り値のブール値。
関数std_match(リットル、rを:unresolved_ufixed)戻り値のブール値。
関数std_match(リットル、rを:unresolved_sfixed)戻り値のブール値。
関数"SLLのは、"(引数:unresolved_ufixed;数:整数)unresolved_ufixed返します。
関数"SLLのは、"(引数:unresolved_sfixed;数:整数)unresolved_sfixed返します。
関数"srlは"(引数:unresolved_ufixed;数:整数)unresolved_ufixed返します。
関数"srlは"(引数:unresolved_sfixed;数:整数)unresolved_sfixed返します。
関数"SLAは"(引数:unresolved_ufixed;数:整数)unresolved_ufixed返します。
関数"SLAは"(引数:unresolved_sfixed;数:整数)unresolved_sfixed返します。
関数"SRAは"(引数:unresolved_ufixed;数:整数)unresolved_ufixed返します。
関数"SRAは"(引数:unresolved_sfixed;数:整数)unresolved_sfixed返します。
関数"リングライトは"(引数:unresolved_ufixed;数:整数)unresolved_ufixed返します。
関数"リングライトは"(引数:unresolved_sfixed;数:整数)unresolved_sfixed返します。
関数"RoRのは、"(引数:unresolved_ufixed;数:整数)unresolved_ufixed返します。
関数"RoRのは、"(引数:unresolved_sfixed;数:整数)unresolved_sfixed返します。
関数shift_left(引数:unresolved_ufixed;数:自然)の戻り
unresolved_ufixed;
関数shift_right(引数:unresolved_ufixed;数:自然)の戻り
unresolved_ufixed;
関数shift_left(引数:unresolved_sfixed;数:自然)の戻り
unresolved_sfixed;
関数shift_right(引数:unresolved_sfixed;数:自然)の戻り
unresolved_sfixed;
関数"と"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"と"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"NAND型"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"NAND型"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"または"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"または"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"も"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"も"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"XOR演算"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"XOR演算"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"xnor"(リットル、rを:unresolved_ufixed)の戻りunresolved_ufixed;
関数"xnor"(リットル、rを:unresolved_sfixed)の戻りunresolved_sfixed;
関数"は"(リットル:unresolved_ufixed)の戻りunresolved_ufixed;
関数"は"(リットル:unresolved_sfixed)の戻りunresolved_sfixed;
関数"と"(リットル:std_ulogicはr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"と"(lは:unresolved_ufixedはr:std_ulogic)はunresolved_ufixed返します。
関数"と"(リットル:std_ulogicはr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"と"(lは:unresolved_sfixedはr:std_ulogic)はunresolved_sfixed返します。
関数"NAND型"(リットル:std_ulogicはr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"NAND型は、"(リットル:unresolved_ufixedはr:std_ulogic)はunresolved_ufixed返します。
関数"NAND型"(リットル:std_ulogicはr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"NAND型は、"(リットル:unresolved_sfixedはr:std_ulogic)はunresolved_sfixed返します。
関数"または"(リットル:std_ulogicはr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"または"(lは:unresolved_ufixedはr:std_ulogic)はunresolved_ufixed返します。
関数"または"(リットル:std_ulogicはr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"または"(lは:unresolved_sfixedはr:std_ulogic)はunresolved_sfixed返します。
関数"も"(リットル:std_ulogicはr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"も"(lは:unresolved_ufixedはr:std_ulogic)はunresolved_ufixed返します。
関数"も"(リットル:std_ulogicはr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"も"(lは:unresolved_sfixedはr:std_ulogic)はunresolved_sfixed返します。
関数"XOR演算"(リットル:std_ulogicはr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"XORは"(リットル:unresolved_ufixedはr:std_ulogic)はunresolved_ufixed返します。
関数"XOR演算"(リットル:std_ulogicはr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"XORは"(リットル:unresolved_sfixedはr:std_ulogic)はunresolved_sfixed返します。
関数"xnor"(リットル:std_ulogicはr:unresolved_ufixed)の戻りunresolved_ufixed;
関数"xnorは"(リットル:unresolved_ufixedはr:std_ulogic)はunresolved_ufixed返します。
関数"xnor"(リットル:std_ulogicはr:unresolved_sfixed)の戻りunresolved_sfixed;
関数"xnorは"(リットル:unresolved_sfixedはr:std_ulogic)はunresolved_sfixed返します。
関数"と"(リットル:unresolved_ufixed)戻りstd_ulogic;
関数"と"(リットル:unresolved_sfixed)戻りstd_ulogic;
関数"NAND型"(リットル:unresolved_ufixed)戻りstd_ulogic;
関数"NAND型"(リットル:unresolved_sfixed)戻りstd_ulogic;
関数"または"(リットル:unresolved_ufixed)戻りstd_ulogic;
関数"または"(リットル:unresolved_sfixed)戻りstd_ulogic;
関数"も"(リットル:unresolved_ufixed)戻りstd_ulogic;
関数"も"(リットル:unresolved_sfixed)戻りstd_ulogic;
関数"XOR演算"(リットル:unresolved_ufixed)戻りstd_ulogic;
関数"XOR演算"(リットル:unresolved_sfixed)戻りstd_ulogic;
関数"xnor"(リットル:unresolved_ufixed)戻りstd_ulogic;
関数"xnor"(リットル:unresolved_sfixed)戻りstd_ulogic;
関数find_leftmost(引数:unresolved_ufixed; yは:std_ulogic)return整数;
関数find_leftmost(引数:unresolved_sfixed; yは:std_ulogic)return整数;
関数find_rightmost(引数:unresolved_ufixed; yは:std_ulogic)return整数;
関数find_rightmost(引数:unresolved_sfixed; yは:std_ulogic)return整数;
functionリサイズ(引数:unresolved_ufixed;
left_index:整数。
right_index:整数。
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
戻り値はunresolved_ufixed;
functionリサイズ(引数:unresolved_ufixed;
size_res:unresolved_ufixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_ufixed;
機能¥サイズ(引数:unresolved_sfixed;
left_index:整数。
right_index:整数。
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
戻り値はunresolved_sfixed;
functionリサイズ(引数:unresolved_sfixed;
size_res:unresolved_sfixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_sfixed;
自然:関数to_ufixed(引数;
left_index:整数。
right_index:整数:= 0;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_ufixed;
自然:関数to_ufixed(引数;
size_res:unresolved_ufixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_ufixed;
リアルタイム:関数to_ufixed(引数;
left_index:整数。
right_index:整数。
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_ufixed;
リアルタイム:関数to_ufixed(引数;
size_res:unresolved_ufixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_ufixed;
unresolved_unsigned:関数to_ufixed(引数;
left_index:整数。
right_index:整数:= 0;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_ufixed;
unresolved_unsigned:機能¥to_ufixed(引数;
size_res:unresolved_ufixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_ufixed;
unresolved_ufixed返す関数to_ufixed(引数:unresolved_unsigned);
function to_unsigned(引数:unresolved_ufixed;
サイズ:自然;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
unresolved_unsigned返します。
function to_unsigned(引数:unresolved_ufixed;
size_res:unresolved_unsigned;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
unresolved_unsigned返します。
関数to_real(引数:unresolved_ufixed)の戻り実;
関数to_integer(引数:unresolved_ufixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
自然返します。
関数は、整数to_sfixed(argを:;
left_index:整数。
right_index:整数:= 0;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_sfixed;
関数は、整数to_sfixed(argを:;
size_res:unresolved_sfixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_sfixed;
リアルタイム:関数to_sfixed(引数;
left_index:整数。
right_index:整数。
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
戻り値はunresolved_sfixed;
real:関数to_sfixed(引数;
size_res:unresolved_sfixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style;
guard_bits:自然:= fixed_guard_bits)
リターンはunresolved_sfixed;
unresolved_signed:関数to_sfixed(引数;
left_index:整数。
right_index:整数:= 0;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_sfixed;
unresolved_signed:機能¥to_sfixed(引数;
size_res:unresolved_sfixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_sfixed;
unresolved_sfixed返す関数to_sfixed(引数:unresolved_signed);
unresolved_sfixed返す関数to_sfixed(引数:unresolved_ufixed);
関数はunresolved_sfixed to_signed(argを:;
サイズ:自然;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_signed;
関数はunresolved_sfixed to_signed(argを:;
size_res:unresolved_signed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
リターンはunresolved_signed;
関数to_real(引数:unresolved_sfixed)の戻り実;
関数to_integer(引数:unresolved_sfixed;
overflow_style:fixed_overflow_style_type:= fixed_overflow_style;
round_style:fixed_round_style_type:= fixed_round_style)
return整数;
関数ufixed_high(left_index、right_index:整数。
操作:文字:='X'を;
left_index2、right_index2:整数:= 0)return整数;
関数ufixed_low(left_index、right_index:整数。
操作:文字:='X'を;
left_index2、right_index2:整数:= 0)return整数;
関数sfixed_high(left_index、right_index:整数。
操作:文字:='X'を;
left_index2、right_index2:整数:= 0)return整数;
関数sfixed_low(left_index、right_index:整数。
操作:文字:='X'を;
left_index2、right_index2:整数:= 0)return整数;
関数ufixed_high(size_res:unresolved_ufixed;
操作:文字:='X'を;
size_res2:unresolved_ufixed)return整数;
関数ufixed_low(size_res:unresolved_ufixed;
操作:文字:='X'を;
size_res2:unresolved_ufixed)return整数;
関数sfixed_high(size_res:unresolved_sfixed;
操作:文字:='X'を;size_res2 : unresolved_sfixed) return integer;
function sfixed_low (size_res : unresolved_sfixed;
operation : character := 'X';
size_res2 : unresolved_sfixed) return integer;
function saturate (left_index, right_index : integer) return unresolved_ufixed;
function saturate (left_index, right_index : integer) return unresolved_sfixed;
function saturate (size_res : unresolved_ufixed) return unresolved_ufixed;
function saturate (size_res : unresolved_sfixed) return unresolved_sfixed;
function To_01 (s : unresolved_ufixed;
xmap : std_ulogic := '0') return unresolved_ufixed;
function To_01 (s : unresolved_sfixed;
xmap : std_ulogic := '0') return unresolved_sfixed;
function Is_X (arg : unresolved_ufixed) return boolean;
function Is_X (arg : unresolved_sfixed) return boolean;
function To_X01 (arg : unresolved_ufixed) return unresolved_ufixed;
function To_X01 (arg : unresolved_sfixed) return unresolved_sfixed;
function To_X01Z (arg : unresolved_ufixed) return unresolved_ufixed;
function To_X01Z (arg : unresolved_sfixed) return unresolved_sfixed;
function To_UX01 (arg : unresolved_ufixed) return unresolved_ufixed;
function To_UX01 (arg : unresolved_sfixed) return unresolved_sfixed;
function To_SLV (arg : unresolved_ufixed) return std_logic_vector;
alias To_StdLogicVector is To_SLV [unresolved_ufixed return std_logic_vector];
alias To_Std_Logic_Vector is To_SLV [unresolved_ufixed return std_logic_vector];
function To_SLV (arg : unresolved_sfixed) return std_logic_vector;
alias To_StdLogicVector is To_SLV [unresolved_sfixed return std_logic_vector];
alias To_Std_Logic_Vector is To_SLV [unresolved_sfixed return std_logic_vector];
function To_SULV (arg : unresolved_ufixed) return std_ulogic_vector;
alias To_StdULogicVector is To_SULV [unresolved_ufixed return std_ulogic_vector];
alias To_Std_ULogic_Vector is To_SULV [unresolved_ufixed return std_ulogic_vector];
function To_SULV (arg : unresolved_sfixed) return std_ulogic_vector;
alias To_StdULogicVector is To_SULV [unresolved_sfixed return std_ulogic_vector];
alias To_Std_ULogic_Vector is To_SULV [unresolved_sfixed return std_ulogic_vector];
function to_ufixed (arg : std_ulogic_vector;
left_index, right_index : integer) return unresolved_ufixed;
function to_ufixed (arg : std_ulogic_vector;
size_res : unresolved_ufixed) return unresolved_ufixed;
function to_sfixed (arg : std_ulogic_vector;
left_index, right_index : integer) return unresolved_sfixed;
function to_sfixed (arg : std_ulogic_vector;
size_res : unresolved_sfixed) return unresolved_sfixed;
function to_UFix (arg : std_ulogic_vector;
width, fraction : natural) return unresolved_ufixed;
function to_SFix (arg : std_ulogic_vector;
width, fraction : natural) return unresolved_sfixed;
function UFix_high (width, fraction : natural;
operation : character := 'X';
width2, fraction2 : natural := 0) return integer;
function UFix_low (width, fraction : natural;
operation : character := 'X';
width2, fraction2 : natural := 0) return integer;
function SFix_high (width, fraction : natural;
operation : character := 'X';
width2, fraction2 : natural := 0) return integer;
function SFix_low (width, fraction : natural;
operation : character := 'X';
width2, fraction2 : natural := 0) return integer;
function to_string (value : unresolved_ufixed) return string;
function to_string (value : unresolved_sfixed) return string;
alias to_bstring is to_string [unresolved_ufixed return string];
alias to_bstring is to_string [unresolved_sfixed return string];
alias to_binary_string is to_string [unresolved_ufixed return string];
alias to_binary_string is to_string [unresolved_sfixed return string];
function to_ostring (value : unresolved_ufixed) return string;
function to_ostring (value : unresolved_sfixed) return string;
alias to_octal_string is to_ostring [unresolved_ufixed return string];
alias to_octal_string is to_ostring [unresolved_sfixed return string];
function to_hstring (value : unresolved_ufixed) return string;
function to_hstring (value : unresolved_sfixed) return string;
alias to_hex_string is to_hstring [unresolved_ufixed return string];
alias to_hex_string is to_hstring [unresolved_sfixed return string];
function from_string (bstring : string;
left_index, right_index : integer) return unresolved_ufixed;
function from_string (bstring : string;
left_index, right_index : integer) return unresolved_sfixed;
function from_string (bstring : string;
size_res : unresolved_ufixed) return unresolved_ufixed;
function from_string (bstring : string;
size_res : unresolved_sfixed) return unresolved_sfixed;
function from_string (bstring : string) return unresolved_ufixed;
function from_string (bstring : string) return unresolved_sfixed;
alias from_bstring is from_string [string, integer, integer return unresolved_ufixed];
alias from_bstring is from_string [string, integer, integer return unresolved_sfixed];
alias from_bstring is from_string [string, unresolved_ufixed
return unresolved_ufixed];
alias from_bstring is from_string [string, unresolved_sfixed
return unresolved_sfixed];
alias from_bstring is from_string [string return unresolved_ufixed];
alias from_bstring is from_string [string return unresolved_sfixed];
alias from_binary_string is from_string [string, integer, integer
return unresolved_ufixed];
alias from_binary_string is from_string [string, integer, integer
return unresolved_sfixed];
alias from_binary_string is from_string [string, unresolved_ufixed
return unresolved_ufixed];
alias from_binary_string is from_string [string, unresolved_sfixed
return unresolved_sfixed];
alias from_binary_string is from_string [string return unresolved_ufixed];
alias from_binary_string is from_string [string return unresolved_sfixed];
function from_ostring (ostring : string;
left_index, right_index : integer) return unresolved_ufixed;
function from_ostring (ostring : string;
left_index, right_index : integer) return unresolved_sfixed;
function from_ostring (ostring : string;
size_res : unresolved_ufixed) return unresolved_ufixed;
function from_ostring (ostring : string;
size_res : unresolved_sfixed) return unresolved_sfixed;
function from_ostring (ostring : string) return unresolved_ufixed;
function from_ostring ( ostring : string) return unresolved_sfixed;
alias from_octal_string is from_ostring [string, integer, integer
return unresolved_ufixed];
alias from_octal_string is from_ostring [string, integer, integer
return unresolved_sfixed];
alias from_octal_string is from_ostring [string, unresolved_ufixed
return unresolved_ufixed];
alias from_octal_string is from_ostring [string, unresolved_sfixed
return unresolved_sfixed];
alias from_octal_string is from_ostring [string return unresolved_ufixed];
alias from_octal_string is from_ostring [string return unresolved_sfixed];
function from_hstring (hstring : string;
left_index, right_index : integer) return unresolved_ufixed;
function from_hstring (hstring : string;
left_index, right_index : integer) return unresolved_sfixed;
function from_hstring (hstring : string;
size_res : unresolved_ufixed) return unresolved_ufixed;
function from_hstring (hstring : string;
size_res : unresolved_sfixed) return unresolved_sfixed;
function from_hstring (hstring : string) return unresolved_ufixed;
function from_hstring (hstring : string) return unresolved_sfixed;
alias from_hex_string is from_hstring [string, integer, integer
return unresolved_ufixed];
alias from_hex_string is from_hstring [string, integer, integer
return unresolved_sfixed];
alias from_hex_string is from_hstring [string, unresolved_ufixed
return unresolved_ufixed];
alias from_hex_string is from_hstring [string, unresolved_sfixed
return unresolved_sfixed];
alias from_hex_string is from_hstring [string return unresolved_ufixed];
alias from_hex_string is from_hstring [string return unresolved_sfixed];
procedure read(l : inout line; value : out unresolved_ufixed; good : out boolean);
procedure read(l : inout line; value : out unresolved_ufixed);
procedure read(l : inout line; value : out unresolved_sfixed; good : out boolean);
procedure read(l : inout line; value : out unresolved_sfixed);
alias bread is read [line, unresolved_ufixed, boolean];
alias bread is read [line, unresolved_ufixed];
alias bread is read [line, unresolved_sfixed, boolean];
alias bread is read [line, unresolved_sfixed];
alias binary_read is read [line, unresolved_ufixed, boolean];
alias binary_read is read [line, unresolved_ufixed];
alias binary_read is read [line, unresolved_sfixed, boolean];
alias binary_read is read [line, unresolved_sfixed];
procedure oread(l : inout line; value : out unresolved_ufixed; good : out boolean);
procedure oread(l : inout line; value : out unresolved_ufixed);
procedure oread(l : inout line; value : out unresolved_sfixed; good : out boolean);
procedure oread(l : inout line; value : out unresolved_sfixed);
alias octal_read is oread [line, unresolved_ufixed, boolean];
alias octal_read is oread [line, unresolved_ufixed];
alias octal_read is oread [line, unresolved_sfixed, boolean];
alias octal_read is oread [line, unresolved_sfixed];
procedure hread(l : inout line; value : out unresolved_ufixed; good : out boolean);
procedure hread(l : inout line; value : out unresolved_ufixed);
procedure hread(l : inout line; value : out unresolved_sfixed; good : out boolean);
procedure hread(l : inout line; value : out unresolved_sfixed);
alias hex_read is hread [line, unresolved_ufixed, boolean];
alias hex_read is hread [line, unresolved_ufixed];
alias hex_read is hread [line, unresolved_sfixed, boolean];
alias hex_read is hread [line, unresolved_sfixed];
procedure write (l : inout line; value : in unresolved_ufixed;
justified : in side := right; field : in width := 0);
procedure write (l : inout line; value : in unresolved_sfixed;
justified : in side := right; field : in width := 0);
alias bwrite is write [line, unresolved_ufixed, side, width];
alias bwrite is write [line, unresolved_sfixed, side, width];
alias binary_write is write [line, unresolved_ufixed, side, width];
alias binary_write is write [line, unresolved_sfixed, side, width];
procedure owrite (l : inout line; value : in unresolved_ufixed;
justified : in side := right; field : in width := 0);
procedure owrite (l : inout line; value : in unresolved_sfixed;
justified : in side := right; field : in width := 0);
alias octal_write is owrite [line, unresolved_ufixed, side, width];
alias octal_write is owrite [line, unresolved_sfixed, side, width];
procedure hwrite (l : inout line; value : in unresolved_ufixed;
justified : in side := right; field : in width := 0);
procedure hwrite (l : inout line; value : in unresolved_sfixed;
justified : in side := right; field : in width := 0);
alias hex_write is hwrite [line, unresolved_ufixed, side, width];
alias hex_write is hwrite [line, unresolved_sfixed, side, width];
end package fixed_generic_pkg;

.................................................................................................. ライブラリのIEEE;
使用ieee.std_logic_1164.all;use ieee.numeric_std.all; package fixed_float_types is
type fixed_round_style_type is (fixed_round, fixed_truncate);
type fixed_overflow_style_type is (fixed_saturate, fixed_wrap);
type round_type is (round_nearest, round_inf, round_neginf, round_zero);
end package fixed_float_types;
 
It appears that your compiler, simulator, etc. does not support that VHDL 2008 feature.
 

Welcome to EDABoard.com

Sponsor

Back
Top