の手順付近>:構¥文エラー-エラーメッセージ

V

Vlsi_lok

Guest
こんにちはすべて、
次のコードスニペットコンパイル手順ApplyReset(信号i_clk:トライステートの
信号i_resetは:アウトトライステートです)
始める
待つまでi_clk = '1'; i_reset <= '1';
待つまでi_clk = '1'; i_reset <= '0';
終わりがApplyReset;次のエラーメッセージが表¥示されます。
手順付近:構¥文エラー

私は時間に感謝あなたの助けと

感謝
ロク

 
こんにちは、

セミコロンは、パラメータプロシージャ2です行方不明の間:

信号i_clk:トライステートで、信号i_reset:アウトトライステート

四天王

 
お返事こんにちは感謝します。この場合でも、エラーを与えることと同じです。
Infactは私が同封をテストベンチの午前与える私の完全な。どうぞ教えてください。これは、エラーの構¥文の多くは与える。
あなたは人々がマッピングをポートとコンポーネントのインスタンスが実行それによって削除します。

どうぞ教えてください。それは時間を私が食べ、すべての
-------------------------------------------------- ------------------------------
図書館のIEEE;
USEはieee.std_logic_1164.ALL;
のUSE ieee.std_logic_unsigned.all;
USEはieee.numeric_std.ALL;

エンティティテストベンチはありますか
ENDはベンチ。

のテストベンチの動作は建築のか

-パラメータ宣言;
定数静的ライブラリ:整数:= 8;
定数IR情報:整数:= 4;
定数ICの:整数:= 5;
閾値定数:整数:= 0;

ユニットの下でテスト宣言のためのコンポーネント- (UUTの)

成分のharris_corner_top
静的ライブラリ汎用(:整数:= 8;
IR情報:整数:= 5;
ICは:整数:= 5;
しきい値:整数:= 5
);
ポート(
i_clk:におけるトライステート;
i_reset:におけるトライステート;
大面積スタック:std_logic_vector(12 downto 0);
I_Cは:std_logic_vector(12 downto 0);
i_start_image:におけるトライステート;
i_end_image:におけるトライステート;
i_data_valid:におけるトライステート;
i_pixel_data:std_logic_vector(7 downto 0);
o_data_valid:売り切れトライステート;
o_corner_data:売り切れトライステート;
o_start_image:売り切れトライステート;
o_end_image:OUTのトライステート
);
エンドコンポーネント。-入力
信号i_clk:トライステート:= '0';
信号i_reset:トライステート:= '0';
大面積スタックの信号:std_logic_vector(12 downto 0):=(その他=> '0');
I_Cは信号:std_logic_vector(12 downto 0):=(その他=> '0');
信号i_start_image:トライステート:= '0';
信号i_end_image:トライステート:= '0';
信号i_data_valid:トライステート:= '0';
信号i_pixel_data:std_logic_vector(7 downto 0):=(その他=> '0');

-出力
信号o_data_valid:トライステート;
信号o_corner_data:トライステート;
信号o_start_image:トライステート;
信号o_end_image:トライステート;

-クロック周期の定義
定数i_clk_period:時間:= 1us;

-内部信号の宣言....
タイプはmemory_0(配列0 IRに*のIC - 1)の整数;
タイプはmemory_1に配列(0

<img src=¥"http://www.edaboard.com/images/smiles/icon_cool.gif¥" alt=¥"クール¥" border=¥"0¥" />

の本当の;
タイプはmemory_2(配列0 IRに*のIC - 1)本当の;

信号pixelImage:memory_0;
信号のdx、dy、ガウス:memory_1;

のBEGIN

- )(UUTのインスタンス化ユニットの下でテスト
UUTの:harris_corner_top
一般的なマップ(静的ライブラリ=> 8、赤外線=> 5は、IC => 5、しきい値=> 0)
ポートマップ(
i_clk => i_clk、
i_reset => i_reset、
大面積スタック=>大面積スタック、
I_Cは=> I_Cは、
i_start_image => i_start_image、
i_end_image => i_end_image、
i_data_valid => i_data_valid、
i_pixel_data => i_pixel_data、
o_data_valid => o_data_valid、
o_corner_data => o_corner_data、
o_start_image => o_start_image、
o_end_image =は> o_end_image
);

-時計のプロセス定義
i_clk_process:プロセス
始める
i_clkの<= '0';
2 /待つのi_clk_period;
i_clkの<= '1';
2 /待つのi_clk_period;
工程;手順ApplyReset(信号CLKを:トライステートに。
信号のリセットは:アウトトライステートです)
始める
待ってCLKのまでfalling_edge(); -リセット<= '1';
待ってCLKのまでfalling_edge(); -リセット<= '0';
終わりがApplyReset;

プロシージャPrepareInputは
始める
dxの(0)<= -1; dyの(0)<= -1;
dxの(1)<= 0; dyの(1)<= -1;
dxの(2)<= 1; dyの(2)<= -1;
dxの(3)<= -1; dyの(3)<= 0;
dxの(4)<= 0; dyの(4)<= 0;
dxの(5)<= 1; dyの(5)<= 0;
dxの(6)<= -1; dyの(6)<= 1;
dxの(7)<= 0; dyの(7)<= 1;
dxの(

<img src=¥"http://www.edaboard.com/images/smiles/icon_cool.gif¥" alt=¥"クール¥" border=¥"0¥" />

<= 1; dyの(

<img src=¥"http://www.edaboard.com/images/smiles/icon_cool.gif¥" alt=¥"クール¥" border=¥"0¥" />

<= 1;

-シグマ= 1.4 --- g33
<ガウス(0)= 0.0924;ガウス(1)<= 0.1192;ガウス(2)<= 0.0924;
<ガウス(3)= 0.1192;ガウス(4)<= 0.1538;ガウス(5)<= 0.1192;
<ガウス(6)= 0.0924;ガウス(7)<= 0.1192;ガウス(

<img src=¥"http://www.edaboard.com/images/smiles/icon_cool.gif¥" alt=¥"クール¥" border=¥"0¥" />

<= 0.0924;

- file_inファイル:テキストオープンread_modeは""data/input/lena_64_64.txt;
- )file_open read_mode(file_inを、"data/input/lena_64_64.txt";

0(一=;私は<IR情報は、i = i 1)をループ
ICの(jは= 0; jは<;はj = jは1)ループ
pixelImage(
 
こんにちは、

あなたは、プロセスやアーキテクチャの一部に宣言手続きを持って宣言を:

の実体RTLはアーキテクチャです

<declarationのpart>

始める

終了プロセス

<declarationのpart>

始める

終了

四天王

 
HIの四天王、

ThankQロットyaar。私は、VHDLに新しいです。

 

Welcome to EDABoard.com

Sponsor

Back
Top